Join Us!

Exhibitors

Sponsorships for MicroFab Summit 2023 are available. For further information and questions about sponsorships, please click here.


Advanced Printed Electronic Solutions specializes in multi-material, multi-tool, 5-axis 3D printed electronics (3DPE) manufacturing and engineering services in support of developing customer applications. The company offers product solutions for 3DPE and additive manufactured electronics, as well as engineering services in the application of complex electronic systems to additive manufactured assemblies. Engineering services include hardware/software development, mechanical design, process engineering and manufacturing capabilities across two 3DPE workflows; additive manufactured electronic structures over conformal surfaces or 3D surface geometries; and fully additive 3D printed electronics where electronic circuitry may exist anywhere in a 3D printable geometry.


Bühler Leybold Optics is a leading manufacturer and supplier of vacuum coating systems and processes for a wide range of industries including MEMS, microelectronics, photonics, semiconductor, and precision optics. With over 150 years of experience in supplying state-of-the-art deposition systems, they offer an extensive range of ion-beam sputtering systems, various types of evaporation and sputtering systems, high-performance magnetron sputtering systems, and plasma-enhanced chemical vapor deposition (PECVD) systems. All of these systems allow for the creation of precise and sensitive micro-mechatronic devices, including MEMS devices. In addition to their high-quality products, Bühler Leybold Optics provides expert consulting services and technical support, with experienced engineers ready to help customers overcome specific challenges and add value throughout the manufacturing process. Their continuous investment in R&D has allowed them to stay at the forefront of the industry and deliver innovative technology solutions to their customers, delivering improved technical and performance benefits in a vast array of applications. With a strong focus on customer satisfaction and continuous improvement, Bühler Leybold Optics provides the best possible products and services to meet the unique needs of its diverse customer base.


Dexerials Corporation is a manufacturer that provides functional materials for smartphones, the automotive domain, and other fields. Globally, we manufacture and sell electronic components, bonding materials, and optical materials, such as anisotropic conductive film (ACF), optical elastic rasin (SVR), anti-reflection film, surface mounted type fuse, industrial adhesive, and double and single coated tapes.


Doliam is a private company created in 1991 and regroups several high-tech, medtech and healthcare companies; from start-ups to industrial-sized ones, developing technologies and products with strong scientific and technological added value. With 440 employees in France and the United States, Doliam is anchored at the heart of the High-Tech Industry and is positioned as a reference on the market through its constituents, ranging from innovative medical technologies to technologies for the security of assets, as well as people protection and ASIC/SOC integrated circuits technologies.


Forge Nano's Atomic Armor™ technology uses atomic layer deposition (ALD) to engineer materials on an atomic scale, optimizing material characteristics such as thermostability, conductivity, and corrosion resistance. The process is scalable, cost-effective, and applicable to a wide range of fields, including consumer electronics, 3D printing, lithium-ion batteries, and medical devices. Forge Nano has collaborated extensively with commercial partners to develop tailored solutions for various applications and scales, from small-scale R&D to large-volume production. By enhancing material efficiency and performance through atomic engineering, Forge Nano aims to revolutionize material production and design.


Kayaku Advanced Materials, Inc. is a manufacturer of specialty chemical products and coatings for the MEMS and microelectronics industry. From initial development through production in our state-of-the-art manufacturing and microfabrication facilities in Westborough, MA, backed by the strength and resources of our parent company, Nippon Kayaku Co., LTD, companies seeking a committed, creative partner turn to Kayaku Advanced Materials. Kayaku Advanced Materials, Inc. delivers the speed, responsiveness, and solutions of an entrepreneurial organization, while having the experience, wisdom, and market knowledge of a company that has spent decades as a global leader in providing material solutions for innovative microfabrication designs. With our customer collaborative approach, we provide a unique combination of customized solutions, scalability, and corporate strength. From product inception onward, Kayaku Advanced Materials, Inc. can get you across the finish line -- and then give you the tools to push into large scale production, and beyond.


MEMS and Nanofabrication Solutions: Kyodo International, Inc. is a pure-play foundry that specializes in MEMS and nanofabrication contract manufacturing. We provide prototyping and volume production services for MEMS, sensors, biomedical, optical, and IoT devices for a variety of applications. Kyodo provides custom solutions and services in thin-film deposition, wafer bonding, polishing (CMP), dry and wet etching, nanoimprint lithography, photolithography, and many other key microfabrication processes. Kyodo also supplies sputtering targets and target bonding services. In addition, we provide comprehensive nanoimprint related services such as mold fabrication, anti-stick coating, imprinting, and mold replication. Kyodo supports complete R&D work to meet customer needs, thus decreasing R&D costs and accelerating time to market. We work with start-ups, academia, government, defense, and aerospace customers. Kyodo is well-represented in Silicon Valley and serves the US and Canadian markets.


https://www.mesoline.com

Mesoline is commercializing and scaling-up a novel microfabrication technology: microchannel particle disposition (MPD). MPD is used to accurately and in a scalable way deposit nanomaterials for various application including MOX gas sensors, bio sensors, getters and optical applications. MPD is offered a manufacturing service to semiconductor companies to enable them to fabricate next-generation products. Mesoline is located in The Netherlands.


Okmetic, founded in 1985, is the leading supplier of advanced, high value-added silicon wafers for the manufacture of MEMS and sensors as well as RF and power devices. Okmetic has the most extensive 150 to 200mm wafer portfolio in the market comprising of comprehensive lines of Silicon-On-Insulator (SOI) wafers and High Resistivity RFSi® wafers as well as patterned wafers, SSP and DSP wafers, TSV wafers, wafers for power devices and wafers for GaN-on-Si applications. The company’s decades-long crystal growth, wafering, and SOI expertise and in-house capabilities for lithographic patterning and deep reactive-ion etching guarantee an optimal platform for the manufacture of even the most advanced of devices. Okmetic’s headquarters is located in Finland, where the majority of the company’s silicon wafers is manufactured. Worldwide sales organization and technical support in Europe, North America, Japan and the Asia-Pacific region ensure quick local service, rapid prototyping and highly optimized wafer solutions meeting your device and process needs.


Quanscient's cloud-based FEM multiphysics simulation platform, Quanscient Allsolve, is built to enable engineers to run hundreds of complex simulations daily. With Allsolve's distributed FEM algorithms, engineers can run single simulations over 100x faster than the current state-of-the-art solutions. In addition, Allsolve enables engineers to run as many simulations in parallel as needed for large-scale Monte Carlo runs. Allsolve supports a versatile selection of multiphysics, including strongly coupled simulations for optical, mechanical, thermal, and electrical behaviors in optical MEMS, sensors, and micro-devices.

Semefab is a private venture wafer fabrication facility operating three wafer fabs on a single site in Glenrothes, UK. Semefab produces a broad range of semiconductor devices on a foundry basis, including MEMS sensors, discrete semiconductors, and mixed signal and analogue ICs of medium-scale integration. Examples include: pressure sensor, gas sensor, thermopile sensor, biosensor, PIN diode, JFET, op-amps, precision analogue ICs, photopic light sensor, and ASICs, etc. With a breadth of capability and flexible approach, we attract a diverse range of customers from start-ups through global corporations across many industry sectors. Close liaison with our highly experienced product design and process engineering team ensures a successful outcome to process development/induction and process optimization leads to high yield, cost-effective manufacturing. Our strategic stocking policy and agreements with repeat order customers ensure excellent on-time delivery performance. Rigorous application of FMEA studies and statistical process control ensure consistent high quality of processing and results in high process and electrical test yields. In addition, Semefab also develops and produces our own devices. Semefab supports two lines of product families currently: low noise JFETs and ambient and infrared light sensors. Both of these product families exist alongside Semefab's customer base with no conflicts.


Silex Microsystems is the world’s largest pure-play MEMS foundry. The world’s most innovative companies in the Sensors Everywhere revolution are reaching their markets sooner with Silex. MEMS process development requires deep specialized knowledge and expertise as a new device goes from concept to volume production. The industry’s most extensive line of MEMS manufacturing capabilities combined with our responsive and collaborative team of experts will bring your project from inception to stable and reliable high-volume production in the shortest possible time.


“All designs. All materials. All applications.” Teledyne MEMS (formerly Teledyne DALSA Semiconductor and Teledyne Micralyne) has helped hundreds of companies reach market success. Teledyne is dedicated to our clients, offering high value and quality while keeping intellectual property secure. With two Canadian locations, Teledyne can meet your needs with unmatched production capability. Whether you need prototyping on 150mm wafers volume 200mm production, or something in between, Teledyne provides customers a scalable suite of MEMS and microfabrication capabilities to meet your business goals. Teledyne MEMS's materials and process expertise, combined with decades of experience and state-of-the-art facilities in a collaborative R&D environment helps Teledyne MEMS focus on innovation and agility. Leverage Teledyne's experience to add value and gain competitive advantage for your business.


Terecircuits develops advanced material solutions that are easily integrated into current semiconductor manufcturering processes to increase yield and throughput while reducing cost compared to current packaging and assembly technologies. Our technology supports heterogeneous assembly of small, fragile, and thinned components, chiplets, sensors, power devices, and passives. Our process is ideal for achieving scale with reduced waste, while meeting crucial assembly challenges such as 3D assembly, IoT, silicon carbide die attach, flexible circuits, and microLED. Terecircuits is the future of advanced packaging and assembly for the "More than Moore" era.


ULVAC Technologies, Inc. (ULVAC) was established in 1992 as the US subsidiary of ULVAC, Inc. Headquartered in Methuen, Massachusetts, ULVAC provides a broad portfolio of manufacturing equipment for the vacuum, materials and thin film industries. ULVAC's solutions diversely incorporate equipment, materials, analysis, and services for flat panel displays, electronic components, semiconductors, MEMS and general-industry equipment. In addition, the Methuen facility is equipped with a class-10 cleanroom for process development, customer demonstration and manufacturing of the ENVIRO solvent-free dry photo resist stripper and compound semiconductor materials etch systems. Other in-house services include foundry etch (for deep oxide and compound semiconductor materials), thermal processing, materials characterization, and vacuum pump/leak detector repair. ULVAC supports a variety of MEMS production technologies, for applications ranging from micro sensors to flow channel modules, optical switches, and bio-MEMS.


Sponsorship and Exhibit Opportunities

For further information or questions about sponsorships, please contact Jessica Ingram at
jessica@microtechventures.com or call 360-929-0114.

Platinum Sponsor ($15,000) – includes:

  • Five (5) conference passes (transferable to individuals outside of sponsor’s company or organization)
  • Conference participant list (with contact information)
  • Opportunity to give a 10-minute talk during main conference session
  • Virtual exhibit booth with access to our AI-enabled matchmaking platform
  • Access to all live talks, recordings, and presentation slides
  • Access to the online AI-enabled matchmaking platform
  • Recognition as Platinum Sponsor, company logo and description on event website and matchmaking platform; marketing exposure through preconference email and social media promotions
  • Event promotions will reach 70,000 to 80,000 individuals in the microtechnology, foundry, sensors, MEMS, electronics, and semiconductor industry segments.

Gold Sponsor ($10,000) – includes:

  • Four (4) conference passes (transferable to individuals outside of sponsor’s company or organization)
  • Recognition as Gold Sponsor
  • All other items the same as Platinum Sponsor

Silver Sponsor ($7,500) – includes:

  • Three (3) conference passes (transferable to individuals outside of sponsor’s company or organization)
  • Recognition as Silver Sponsor
  • Opportunity to give a 5-minute talk during main conference session
  • All other items the same as Platinum Sponsor

Bronze Sponsor ($5,000) – includes:

  • Two (2) conference passes (transferable to individuals outside of sponsor’s company or organization)
  • Recognition as Bronze Sponsor
  • Opportunity to give a 5-minute talk during main conference session
  • All other items the same as Platinum Sponsor

Online sponsor space is limited. Sign up today!


Past Exhibitors

Many thanks to our exhibitors from the 2016-2022 events.

AARD Technology is the U.S. representative for scia Systems GmbH, a German supplier of specialized plasma and ion beam process equipment. We offer systems for coating, etching, and localized trimming for the MEMS, microelectronics, and optics industries. Technical support and 24 x 7 service hotlines ensure high volume manufacturers enjoy maximum uptime.


Founded in 1995 and located in Finland AFORE is a pioneer supplier of test equipment for the MEMS industry and related markets. We are specialized in providing solutions for consumer, industrial and automotive applications. Our products are utilized in sensor and semiconductor industry from R&D and characterization to high-volume manufacturing, wherever there’s a demand for high accuracy testing in environmental or motion stimulus. Being part of the AEM Group, we take pride in providing innovative, engineering-focused solutions and developing strong partnerships with customers and associates to cater to their manufacturing needs through our global engineering service support network and innovative people. Through our network of sales offices, associates and distributors, AEM and AFORE have global market presence spanning Asia, Europe and the United States.


ATLANT 3D Nanosystems is a cross-European deep tech company that developed a unique atomic layer advanced manufacturing technology (direct write ALD) with a mission to reshape the future atom by atom and enable on-demand advanced materials development, rapid prototyping and manufacturing of microdevices and nanodevices. The company was founded in 2018 by Dr. Maksym Plakhotnyuk, Ivan Kundrata, and Prof. Dr. Julien Bachmann with a vision of delivering the first-ever atomic layer 3D printing technology to reshape the future atom by atom. Our solution uses atomic layer additive manufacturing which possesses straightforward design, supply chain, and environmental advantages compared to traditional techniques, disrupting 60 years of established micro-and nanofabrication processes.


Boston Micro Fabrication specializes in microscale precision 3D printing. The company’s microArch 3D printing system uses a proprietary approach to 3D printing called PμSL (Projection Micro-Stereolithography) that leverages light and enables the technology to produce the industry’s most accurate and precise high-resolution prints at an imperceptibly small scale for commercial manufacturers. The technology represents a true industry breakthrough by empowering product manufacturers to capitalize on the benefits of 3D printing without sacrificing quality or scale. Founded in 2016, BMF has offices in Singapore, Boston, Shenzhen and Tokyo.


Coat-X provides unique solutions for protecting high value-added components against moisture penetration and corrosion. Our ultra-thin biocompatible multilayer coating technology is able to protect the most critical parts of your products, should they need to function in harsh environmental conditions. Our low temperature proprietary batch process has been applied successfully to different type of sensors, microsystems, PC boards, magnets, watch components and medical devices. The solutions offered by Coat-X meet requirements in compliance with the highest international quality standards for hermeticity; corrosion resistance and/or biocompatibility. Coat-X’s novel technology can replace conventional and expensive, metal, glass or polymer encapsulation/protection solutions and can be used for many different applications.


Covalent Metrology is a one-stop-shop for measurement and characterization services and expertise. We offer in-house measurement and imaging of advanced materials, coatings and devices as well as a single point of contact to access a wide network of specialized service labs and instrument makers around the world. Covalent partners with customers, leveraging our metrology expertise, network and infrastructure to solve development challenges, improve products and increase yields. Our customers work in many industries including semiconductor manufacturing, photonics, and energy storage, generation and conservation (e.g., batteries, PV, glass or thermal coatings).


When it comes to understanding the physical structure, chemical properties and performance of advanced materials and integrated circuitry, no other scientific services company offers the breadth of experience, diversity of analytical techniques and technical ingenuity of EAG Laboratories. We deliver multi-disciplinary, problem-solving expertise to help our customers accelerate innovation, ensure quality and safety, and protect intellectual property.


Eshylon Scientific is revolutionizing thin wafer handling and the temporary bonding market with its innovative, multi-patented mobile electrostatic carriers (MESCs), offering customers unprecedented control over their most challenging substrate handling requirements. The Eshylon MESC platform delivers unmatched ROI for thin wafer handling, fab retrofit and wafer thinning applications through extraordinary yield, versatility, cost and throughput.


GDSI is the only ISO registered supplier in the USA offering non-contact dicing service, using the stealth laser process. The process is ideally suited for fragile MEMS and sensor devices, sparing the device layer from being subjected to water jets and silicon debris. Unlike saw dicing or harsh surface ablation laser cutting, the stealth process doesn’t require a protective polymer, simplifying your process flow. Intermittent laser transmission enables precise singulation of complicated reticle frames (“T” cuts), allowing full processing of a wafer in a single mount. Same day service possible. 30+ years of experience supporting both NPI and production programs in the Silicon Valley. Special emphasis on custom thinning, dicing, automated pick and inspection of fragile parts with device protection in mind. ISO 9001:2015, ITAR and Trusted accreditations. Offering consultation on mask design so your critical wafer can accommodate the stealth laser dicing process. (Stealth dicing is a registered trademark of Hamamatsu Photonics and GDSI is not affiliated with HPK.)


Imec aims to be the world-leading research and innovation hub in nanoelectronics and digital technologies. The combination of our widely acclaimed leadership in microchip technology and profound software and ICT expertise is what makes us unique. By leveraging our world-class infrastructure and local and global ecosystem of partners across a multitude of industries, we create groundbreaking innovation in application domains such as healthcare, smart cities and mobility, logistics and manufacturing, energy and education.As a trusted partner for companies, start-ups and universities we bring together close to 3,500 brilliant minds from over 75 nationalities. Imec is headquartered in Leuven, Belgium and also has distributed R&D groups at a number of Flemish universities, in the Netherlands, Taiwan, USA, China, and offices in India and Japan.


IntelliSense ignited the MEMS industry in the early 1990’s with its IntelliSuite® family of innovative CAD tools. IntelliSuite is the industry-leading computer aided engineering (CAE) tool set for MEMS layout design, advance clean room process simulation, multiphysics analysis, parametric analysis, system simulation, packaging analysis and more. Covering all aspects of the MEMS product design cycle, IntelliSuite provides a groundbreaking, end-to-end software solution for MEMS professionals. IntelliSense also provides a global one stop MEMS solution for universities, blue-chip companies and start-ups with its custom design, consulting and fabrication services. With users in over 30 countries, IntelliSense is the largest MEMS software vendor with its own in-house fabrication facility.


i-ROM is the new generation of MEMS design software. Simply draw the sensor, similar to a CAD system, click, and the complete structure with all parameters is recorded in the i-ROM MODELBUILDER. Comprehensive model libraries with standard and user-defined comb cells and parallel plate capacitors support the model input. The MODELBUILDER enables static, harmonic, and transient analysis. Even complicated models with several mass bodies, arbitrary spring shape, and capacitive transducers can be modeled in a very short time. The models are fully parametric and also take into account the manufacturing tolerances such as mask undercuts and etch sidewall slopes, electromechanical interactions and non-linearities. Interface to ANSYS and SIMULINK as well as a mask export to complete the i-ROM MODELBUILDER and make it a "must have" for every MEMS development department.


Kayaku Advanced Materials, Inc. is a manufacturer of specialty chemical products and coatings for the MEMS and microelectronics industry. From initial development through production in our state-of-the-art manufacturing and microfabrication facilities in Westborough, MA, backed by the strength and resources of our parent company, Nippon Kayaku Co., LTD, companies seeking a committed, creative partner turn to Kayaku Advanced Materials. Kayaku Advanced Materials, Inc. delivers the speed, responsiveness, and solutions of an entrepreneurial organization, while having the experience, wisdom, and market knowledge of a company that has spent decades as a global leader in providing material solutions for innovative microfabrication designs. With our customer collaborative approach, we provide a unique combination of customized solutions, scalability, and corporate strength. From product inception onward, Kayaku Advanced Materials, Inc. can get you across the finish line -- and then give you the tools to push into large scale production, and beyond.


KST World Corp. services as a wafer foundry providing various services, especially super thick oxide film upto 8-inch size over 25um, with patented technology for over 20 years. Using thick oxide film technology, we provide unique thick BOX SOI wafers, not only normal SOI wafer or cavity SOI wafer.Today, KST World’s unique and advanced technologies meet and drive customer’s technological requirements in worldwide market.


MEMS and Nanofabrication Solutions: Kyodo International, Inc. is a pure-play foundry that specializes in MEMS and nanofabrication contract manufacturing. We provide prototyping and volume production services for MEMS, sensors, biomedical, optical, and IoT devices for a variety of applications. Kyodo provides custom solutions and services in thin-film deposition, wafer bonding, polishing (CMP), dry and wet etching, nanoimprint lithography, photolithography, and many other key microfabrication processes. Kyodo also supplies sputtering targets and target bonding services. In addition, we provide comprehensive nanoimprint related services such as mold fabrication, anti-stick coating, imprinting, and mold replication. Kyodo supports complete R&D work to meet customer needs, thus decreasing R&D costs and accelerating time to market. We work with start-ups, academia, government, defense, and aerospace customers. Kyodo is well-represented in Silicon Valley and serves the US and Canadian markets.


LAUDA is the global leader in manufacturing innovative temperature control units. With more than 60 years of experience and 12 international companies, we are positioned to support almost every industry worldwide. Our TCUs range from -100 to 500 degrees Celsius with cooling capacity up to 240 kW. No-compromise reliable temperature control in the research environment, application technology and production.LAUDA-Noah is engaged in the manufacturing, development, distribution, service and support of chillers and heat exchangers for the semiconductor industry, with an installed base of over 10,000 systems worldwide. Our thermoelectric chillers from 75W to 2500W of cooling capacity for use in plasma etch, semiconductor, MOVCD, laboratory and scientific instruments. We work directly with industry leading OEM customers to ensure that our thermoelectric designs provide efficient and cost-effective alternatives to compressor-based refrigerant chiller.


Lyncée Tec is the world pioneer and leader of patented Digital Holographic Microscope (DHM®) technology, based in Lausanne, Switzerland. It offers matured DHM® based holographic microscopes for 4D (time-sequence of 3D) profilometry with unrivalled non-scanning acquisition speed, sub-nanometer resolution and in-situ process characterization for both in-plane and out of plane at the same time. Applications include MEMS analyzer, high-throughput semiconductor inspection, microfluid, liquid crystal and bio living cell etc.For MEMS dynamic characterization, DHM combined with stroboscopic unit enables full-field 3D measurements without any XYZ scanning, including frequency scan, in-plane and out of plane vibrations, impulse response detection. Advanced data processing software provide 3D dynamic video, 3D tracking on large in-plane motion device, and device vibration maps for full-field motion amplitude and phase distribution. Samples could be in vacuum, environment chamber of temperature control, or liquid immersion. Fast and economic data collection rate present DHM as a powerful in-line inspection tool for MEMS manufacturing and qualification."


Materion Advanced Materials is a premier provider of thin film sputtering materials for MEMS applications. Our experienced application engineers understand complex storage architectures along with our customers’ requirements for specialty materials that optimize product performance and reduce cost. Materion’s product line offerings include high quality precious and valuable metals sputtering targets, aluminum scandium sputter targets, high PTF extended life magnetic targets, anti-ferromagnetic materials and products to support HAMR technology. Our high-quality products meet the need for repeatability, reliability and longevity. Our services also include sputter target bonding, precision parts cleaning, and precious and valuable metal reclamation services.


MicroConnex fabricates a wide range of high-density, fine-pitch (1.1 mil trace/space), complex (multilayer with blind and buried vias) flexible circuits (FPC) and provides contract laser microvia-drilling and micromachining services. Using ESI 5330 and 5335 series laser systems along with IPG IX-6100s. The company machines various flexible and rigid materials, including alumina, kapton, and other exotic materials. MicroConnex also deposits (sputters) specialty thin-film materials.


We provide innovative lab-on-a-chip and MEMS solutions: solutions that will help our customers improve their products and research, contributing to the quality of life. Our unique combination of micro- and nanotechnologies, different materials, microfluidics and MEMS knowledge and customer application knowhow, enables us to provide our customers with the innovative and sustainable solution they are looking for. Micronit was founded in 1999, with its initial focus on the miniaturisation of devices using micro technology. After a few years our focus shifted to microfluidics for life sciences applications. Since then we have transformed from a parts supplier to a lab-on-a-chip and bioMEMS product development and manufacturing partner, providing our customers with total solutions.


Millibatt builds the highest performance battery chips for small wireless electronics. The company brought innovation in battery manufacturing using existing MEMS process and provides on-chip power supply for sensors and the next generation electronics. The batteries last 10x longer and delivers 3x higher power compared to existing technologies. Current solutions are limited to coin cells and thin film batteries that have not improved in the past two decades. They limit specs of electronics, and heavily constrain the shape and size of devices – one size must fit all.Millibatt is the only solution for small wireless electronics, which is getting smaller in size and number of devices exploding. Millibatt’s batteries are getting tested in variety of applications including and not limited to inventory trackers for logistics and implantable medical devices.


Mosaic Microsystems is a startup company focused on enabling thin glass solutions for MEMS applications. Glass solutions bring many benefits for MEMs applications. The transparency and chemical inertness of glass is important for many optical and biological applications. Since glass does not absorb water and is very smooth, and has low dielectric loss, it provides an excellent solution for hermetically sealed microelectronic devices. Mosaic’s proprietary thin glass handling solution allows you to temporarily bond thin, flexible glass to a rigid silicon or glass handle wafer to enable manufacture in industry standard processes in high volume environments. The mechanical de-bond is amenable to industry standard techniques and the overall approach opens up solutions for glass < 0.2 mm thick for next generation MEMS devices.


NanoFlowX is a nanotechnology solutions provider who strives on advanced and self-healing nanocoatings for electronic devices and components. These nanocoatings extend the life of electronics to make them more durable and reliable in any environment. Achieve IP68 ratings through our applications and protect against water, dust, corrosion, humidity, and bacteria. With over 5 years of research and development, certifications by third-party laboratories prove our coatings to be the most efficient and fastest method to weatherizing electronics. Protect electronics in as little as 2 steps and 2 minutes using our coating solutions. NanoFlowX stands ready to protect the next generation of smart devices around the world.


Neutronix-Quintel (NXQ) is a leading provider of high performance mask alignment systems since 1978. NXQ is comprised of a team of seasoned industry veterans with vast experience in photolithography, providing their customers with the most robust solutions which have been derived from many years of customer driven innovations. NXQ has well over 1000 systems installed around the world used for various technologies such as MEMS, compound semi, biomedical, microfluidics, HB LED, WLP, 3DIC / TSV, 2.5D interposer and HCPV. Prominent high volume manufacturing companies utilize NXQ’s equipment for end products such as transceiver chip sets for cell phones and other wireless devices, medical sensors, automobile sensors, LED lighting, military and defence electronics, IR detectors, optical devices used for communications and discrete devices. The company’s products are also used extensively throughout the world at universities and research institutes and are recognized as one of the most versatile and flexible mask aligners in the marketplace. NXQ works closely with customers to innovate and develop new features that differentiate their products from the competition. The company continues to gain market share with customers that require equipment suppliers who can meet their stringent needs for cost, performance and reliability. With the recently release of the 300mm platform, NXQ is well positioned to maintain double digit growth.


Since 1980, Nikon Corporation has been revolutionizing lithography with innovative products and technologies. The company is a worldwide leader in semiconductor lithography systems for the microelectronics manufacturing industry with more than 8,000 (semiconductor) lithography systems installed worldwide. Nikon offers the most extensive selection of production-class steppers and scanners in the industry. These products serve the semiconductor, flat panel display (FPD) and thin-film magnetic head (TFH) industries. The extensive Nikon product portfolio includes specialized lithography solutions for MEMS, LED, and packaging applications as well. Nikon Precision provides service, training, applications and technical support, as well as sales and marketing for Nikon lithography systems worldwide.


Okmetic, founded in 1985, is the leading supplier of advanced, high value-added, silicon wafers for the manufacture of MEMS and sensors as well as RF and power applications. Okmetic has the most extensive 150-200mm wafer portfolio in the market comprising of comprehensive lines of silicon-on-insulator (SOI) and high resistivity RFSi® wafers as well as single side polished (SSP) and double side polished (DSP) wafers. The company’s decades-long crystal growth, wafering, and SOI expertise and in-house capabilities for lithographic patterning and deep reactive-ion etching guarantee the optimal platform for the manufacture of even the most advanced of applications. Okmetic’s headquarters is located in Finland, where the majority of the company’s silicon wafers is manufactured. Worldwide sales organization and technical support in Europe, the United States, Japan and the Asia-Pacific region ensure quick local service, rapid prototyping and highly optimized wafer solutions meeting your device and process needs.


Built on the rich legacies of Nanometrics and Rudolph Technologies, Onto Innovation stands alone in process control with our unique perspective across the semiconductor value chain. We enable our customers to solve their most difficult yield, device performance, quality, and reliability issues. Onto Innovation will optimize customers’ critical path of progress by making them smarter, faster and more efficient. Headquartered in Wilmington, Massachusetts, Onto Innovation supports its customers with a worldwide sales and service organization.We combine the scale of a global leader with an expanded portfolio of leading-edge technologies that include:unpatterned wafer quality; 3D metrology spanning the chip from nanometer-scale transistors to micron-level die-interconnects; macro defect inspection of wafers and packages; metal interconnect composition; factory analytics; and lithography for advanced semiconductor packaging. The breadth of this portfolio allows us to collaborate with customers about their process yields and process variations from bare silicon wafers through the wafer fab to the final back-end packaging. Onto Innovation’s software brings understanding of how individual processes affect the overall product, enabling customers to improve product quality and reliability.


OnScale is the world’s first Solver-as-a-Service platform – a combination of advanced computer-aided engineering (CAE) multi-physics solvers with a scalable cloud high-performance computing (HPC) engine. OnScale breaks performance barriers for engineers by providing near-limitless Cloud HPC resources to solve today’s toughest engineering challenges. OnScale also breaks cost barriers for engineering teams of all sizes by providing world-class CAE multi-physics solvers and Cloud HPC on a subscription-based pay-as-you-go pricing model. With OnScale, engineers can run massive multi-million degree-of-freedom multi-physics simulations and vast numbers of simulations in parallel to optimize systems like ultrasonic transducer arrays for near-field 3D object classification for ADAS applications very quickly with minimal cost. Design studies that were once impossible with legacy CAE tools and on-premise HPC are now possible.


Oneida Research Services, Inc. (ORS) offers specialized laboratory testing services to support the microelectronics, telecommunications, aerospace, automotive, medical, and defense industries. Our services focus on research, development and quality control of our client’s products: exclusively for our client. ORS strives to develop long term relationships with our clients through unwavering professionalism, flexibility and attention to detail. Throughout its over 40 years of providing materials analysis services to the electronics industry, ORS has established on-going working relationships with experts in the field of applied analytical chemistry, materials/processing technology, quality systems, and component reliability. ORS and its industry experts have a wealth of experience in applying laboratory technology to solve your materials/processing concerns using RGA testing, component analysis, environmental testing and other advanced processes. We are available to consult with you, at your facility whenever appropriate, to provide technical expertise on a wide range of materials and process technology issues. Oneida Research Services, Inc. is an American owned and operated company.


With almost 200 experts working at the MEMS Foundry and Micro Devices Facility, a phase gated approach is followed to demonstrate the feasibility and provide proof of concept, develop the process to the required maturity level and manufacture your devices with the required quality certifications – such as ISO 13485 and 9001. From small series to high volume, inquiries are approached with a manufacturing mindset. Philips MEMS Foundry is your reliable partner in creating your MEMS and micro devices. Making innovation work.


Promex delivers innovative IC packaging and heterogeneous assembly solutions for medical, biotech and sensor-based microelectronic devices from its 30,000-sq.ft. Santa Clara, CA facility.Promex heterogeneous assembly processes integrate conventional surface mount technology (RoHS- optimized SMT) with semiconductor microelectronic packaging and assembly methods for flip chip or chip/wire devices. As well, onsite services include wafer prep (wafer thinning/dicing) as well as options for overmolding and Class 100/Class 1000 cleanroom.Promex provides design for manufacturing services coupled with materials science expertise and broad assembly capabilities for small- to mid-volume onshore production. Promex is CA-FDB licensed to manufacture Class 2& Class 3 medical devices. is ISO 13485/ISO 9001 and IPC certified and ITAR registered.


The SAES Getters Group is the world leader in a variety of applications where vacuum, hermetic or ultra-high purity gas conditions are required. SAES Getters provides products that allow customers to achieve lifetime device integrity of their MEMS devices. There are many configurations of Getter solutions available for integration inside a device ranging from SAES' thin film page material deposited on lids or wafers, hydrogen only absorbing thin materials deposited on lids or sheets to porous Getters that are installed inside devices.


SCHOTT Primoceler offers wafer scale and chip scale bonding to create reliable, fully hermetic glass packages without heat or added materials. We help our customers develop, manufacture and test hermetically sealed products. Our experience started in the medical device industry, where SCHOTT Primoceler’s novel glass-to-glass and glass-to-silicon bonding method solved issues in hermetic sealing of medical implants. From there, we worked with the Aerospace industry, where the advantages of our Glass Micro Bonding were verified and continuously tested. Our long tradition continues today, as SCHOTT Primoceler is currently working on several aerospace projects together with the European Space Agency. Our patented bonding method assists in manufacturing components for consumer devices. Given the nature of consumer devices, high-yield production and throughput are of essence. Our product portfolio consists of R&D services, prototyping and proof-of-concept testing.Furthermore, SCHOTT Primoceler offers manufacturing services. Quality assurance is at the center of all our processes and we have a wide range of expertise in hermeticity testing and reliability assurance. We can address even the most stringent demands.


Semefab is a private venture wafer fabrication facility operating three wafer fabs on a single site in Glenrothes, UK. Semefab produces a broad range of semiconductor devices on a foundry basis, including MEMS sensors, discrete semiconductors, and mixed signal and analogue ICs of medium-scale integration. Examples include: pressure sensor, gas sensor, thermopile sensor, biosensor, PIN diode, JFET, op-amps, precision analogue ICs, photopic light sensor, and ASICs, etc. With a breadth of capability and flexible approach, we attract a diverse range of customers from start-ups through global corporations across many industry sectors. Close liaison with our highly experienced product design and process engineering team ensures a successful outcome to process development/induction and process optimization leads to high yield, cost-effective manufacturing. Our strategic stocking policy and agreements with repeat order customers ensure excellent on-time delivery performance. Rigorous application of FMEA studies and statistical process control ensure consistent high quality of processing and results in high process and electrical test yields. In addition, Semefab also develops and produces our own devices. Semefab supports two lines of product families currently: low noise JFETs and ambient and infrared light sensors. Both of these product families exist alongside Semefab's customer base with no conflicts.


Silex Microsystems is the world’s largest pure-play MEMS foundry. The world’s most innovative companiesin the Sensors Everywhere revolution are reaching their markets sooner with Silex. MEMS process development requires deep specialized knowledge and expertise as a new device goes from concept to volume production. The industry’s most extensiveline of MEMS manufacturing capabilities combined with our responsive and collaborative team of experts will bring your project from inception to stable and reliable high-volume productionin the shortest possible time.


Silicon Sensing Systems, founded in 1999, is one half of a joint venture based in Plymouth, UK. It is a MEMS gyroscope and inertial systems engineering development company, jointly owned by Collins Aerospace and Sumitomo Precision Products. Along with manufacturing its own MEMS inertial sensor products for nearly 10years, Silicon Sensing is offering MEMS foundry services for prototype fabrication through to mass production. Having developed and produced 30 million MEMS devices over 21 years, Silicon Sensing has accumulated sophisticated wafer processing technologies and unique packaging capabilities which can now be accessed by customers for development and production of their own designs. Some of our core technologies are D-RIE and deposition of PZT with high d31. We assure you that our experienced engineers will do their best to support all customer to bring their MEMS design appear in the real world.


SilTerra Malaysia is a semiconductor wafer foundry offering a full range of process technologies covering our core business in CMOS technologies (advanced logic, RFCMOS, mixed signal and high voltage) to leading edge technologies in MEMS, silicon photonics, bio-photonics and power. SilTerra’s wafer fab has a capacity of 40,000 eight-inch wafers per month. SilTerra also offers MEMS foundry services and a unique MEMS-on-CMOS technology. Under the MEMS foundry services, we help customers realize working prototypes from their proof of concept, support the transfer or set-up of customer owned process and ramp-up to high volume manufacturing (all in one fab). With our MEMS-on-CMOS technology, we have the capability to build the MEMS devices on pre-processed CMOS wafers thus offering a “truly monolithic MEMS integrated solution”. This integrated technology provides a cost-effective, multi-functional chip with a smaller footprint. SilTerra provides proven silicon validated MEMS devices to our customers. SilTerra offers various MEMS on CMOS devices such as: pMUT(piezoelectric micromachined ultrasonic transducers), BAW and SAW (bulk and surface acoustic wave) resonators, optical micro-mirror arrays, zero level package (ZLP), nano-wires, and sensors. Applications include frequency control products, data projectors, finger print sensors, medical imaging and industrial sensors.


SoftMEMS develops, markets and sells software solutions to accelerate the designs of MEMS and Nano-based electronics in order to bring MEMS to the mainstream. SoftMEMS offers a design methodology that accelerates the design of complex electronic components that combine MEMS/NEMS, integrated circuits and miniaturized packaging. Its platforms accommodate best-of-breed multiphysics solvers and optimally manage the complex flow of design and manufacturing data starting from mixed MEMS/IC behavioral simulation, to MEMS modeling, process development and tape-out. Applications areas cover a broad range of microelectromechanical systems including RF-MEMS, microphones, optical devices, fluidics, biochips, pressure sensors, accelerometers, gyroscopes, inkjet printer heads and others.


Solarius is a leading provider of precision systems for non-contact surface inspection, measurement, analysis, and visualization. Our products combine high-resolution sensors with automated data acquisition systems and powerful analysis tools. Offerings range from desktop systems for off-line inspection, to semi-automated systems for fast precise measurements, to customized multi-station platforms for high-volume in-line inspection. Our design philosophy focuses on standard products as well as customized solutions to best meet specialized requirements. This process starts with understanding metrology challenges and budget constraints, followed by in depth analysis by our application and development engineers. Customizing data acquisition software, analysis tools, and user interfaces is a part of our approach to solve unique metrology application tasks. The company’s headquarters, which includes our main product development center, is in San Jose, California. Our global reach includes Solarius subsidiaries in China (Shanghai), Europe (Munich and Essen) and India (Pune) responsible for sales, application engineering, customer support, and product development. Augmented by our international partner network,Solarius takes care for an installed base exceeding 2,000 active metrology systems worldwide.


ST is a global leader in the semiconductor market, serving customers across the spectrum of sense and power and automotive products and embedded processing solutions. For more than 30 years, STMicroelectronics has been a leader in automotive technology using its unrivalled combination of product design skills, high-quality manufacturing resources and partnerships with key players in the automotive ecosystem to make cars greener, safer, more economical and more enjoyable. The company’s automotive-solutions portfolio covers all key application areas in the car: safety, powertrain, car body, and infotainment. ST combines an unparalleled platform of advanced technologies with an unswerving commitment to quality, and a thorough understanding of the automotive market. ST is found everywhere microelectronics make a positive and innovative contribution to people's life. By getting more from technology to get more from life, ST stands for life.augmented.


SUSS MicroOptics produces high-quality refractive and diffractive micro-optics for fiber coupling, collimation and beam homogenizing based on extensive experience in optical design, engineering, wafer-level manufacturing, metrology and packaging. SUSS MicroOptics is automotive qualified and key supplier for innovative photonic solutions in telecom, datacom, life science, laser, semiconductor equipment and automotive lighting. SUSS MicroOptics SA was formed in 1999 with the remit to supply its parent SUSS MicroTec AG with micro-optical elements for their lithography equipment. As the market for micro-optics grew, SUSS MicroOptics expanded to meet the new and diverse requirements, developing its product portfolio and expertise to become one of the leading producers of precision refractive and diffractive micro-optics in the world.


Tau Industrial Robotics is producing TiSense, a high-tech table-top solution for environmental MEMS testing with nitrogen free, ultra-fast temperature transition, in combination with additional stimuli (pressure, acoustics etc.).


“All designs. All materials. All applications.” Teledyne offers unmatched MEMS capability, from design to prototyping on 150mm wafers through to volume 200mm production. Teledyne DALSA and Teledyne Micralyne combine to collaborate with customers offering decades of experience across a vast process portfolio. Our deep expertise in materials and processes, combined with state-of-the-art facilities and collaborative R&D resources drive continuous improvement, learning, and innovation. Teledyne delivers a scalable suite of MEMS and microfabrication capabilities that allow customers to ramp up to meet their business development goals. We are a trusted development and manufacturing partner for process and product IP. Contact us to find out more.


TDC is a manufacturing company which offers ultra-precise lapping and polishing services. Our technology realizes high precision quality such as surface roughness Ra 1nm, parallelism 100 nm, flatness 30 nm, size tolerance +/-100 nm, angle +/- 3 sec and roundness 50 nm, and we satisfy these specs with world renowned metrology equipment. Not only providing polishing service, we work like a one-stop-shop to fabricate precise parts, handling a wide variety of materials including metals, ceramics, resin, glass, and semiconductor materials. For example, we succeeded in thinning 4-inch wafers down to 1 micrometer thickness while attached to the base wafer. TDC also provides "plasma-assisted polishing (PAP)" services which is a highly efficient and high-quality polishing technique for diamond substrates. Generally, diamond substrates are polished by scaife-process using diamond abrasives. However, PAP is expected to be a damage-free and highly efficient polishing technology for diamond substrates compared to the existing process. We started offering PAP service last year and succeeded in polishing large size diamond substrate such as 40 mm x 40 mm down to less than Sa 0.2 nm and flatness of 0.5 um which is high enough quality for use as heat spreaders, X-ray window materials, power devices and so forth.


TDK develops and manufactures high accuracy temperature and pressure sensors with excellent media resistance and long-term stability, serving the automotive, industrial and consumer electronics markets. With world class expertise and technology combined with decades of experience in design, simulation, validation and mass production TDK is a global partner to market leading customers. Our factories are IATF 16949 certified and have received numerous OEM certifications as well as multiple supplier awards. Tronics, a TDK Group Company, is an independent custom MEMS supplier and foundry with US and European manufacturing, design, development and mass production facilities, allowing us to provide our customers with truly local support. Tronics MEMS Inc. located in Addison, Texas, serves the bioMEMS and industrial markets and holds ISO 9001, ISO 140001 and ISO 13485 (medical devices) certifications. Tronics MEMS is a full-service foundry and produces an array of customized products including flexible polymer-based sensors, conductive and functionalized silicon microneedles, and microfluidic sensors.


“All designs. All materials. All applications.” Teledyne offers unmatched MEMS capability, from design to prototyping on 150mm wafers through to volume 200mm production. Teledyne DALSA and Teledyne Micralyne combine to collaborate with customers offering decades of experience across a vast process portfolio. Our deep expertise in materials and processes, combined with state-of-the-art facilities and collaborative R&D resources drive continuous improvement, learning, and innovation. Teledyne delivers a scalable suite of MEMS and microfabrication capabilities that allow customers to ramp up to meet their business development goals. We are a trusted development and manufacturing partner for process and product IP. Contact us to find out more.


UltraSense Systems develops MEMS based ultrasonic touch solutions which enable precise, highly localized, buttonless interactions with any surface materials including metals, glass, wood, ceramics, leather, and plastics. The company has developed the world's smallest AI-enabled ultrasound system-on-a-chip that can sense through any material and replace mechanical buttons in smartphone, automotive, consumer electronics, home appliances, IoT, industrial, and medical products applications. UltraSense has a smart surface demonstration platform for potential customers, can provide evaluation kits, as well as assist with applications engineering.


ULVAC Technologies, Inc. (ULVAC) was established in 1992 as the US subsidiary of ULVAC, Inc. Headquartered in Methuen, Massachusetts, ULVAC provides a broad portfolio of manufacturing equipment for the vacuum, materials and thin film industries. ULVAC's solutions diversely incorporate equipment, materials, analysis, and services for flat panel displays, electronic components, semiconductors, MEMS and general-industry equipment. In addition, the Methuen facility is equipped with a class-10 cleanroom for process development, customer demonstration and manufacturing of the ENVIRO solvent-free dry photo resist stripper and compound semiconductor materials etch systems. Other in-house services include foundry etch (for deep oxide and compound semiconductor materials), thermal processing, materials characterization, and vacuum pump/leak detector repair. ULVAC supports a variety of MEMS production technologies, for applications ranging from micro sensors to flow channel modules, optical switches, and bio-MEMS.


ViaMEMS Technologies Inc. provides MEMS product prototyping, microfabrication process development, and micromachining services.We have our own micromachining facility and have direct access to industrial and research cleanroom facilities in the Silicon Valley.Since 2011, ViaMEMS has been a key partner to over 10 companies with their critical R&D projects and volume productions in the US and abroad.


Wafer Universe is your express supplier for high-quality glass wafers. Wafer Universe offers a wide range of high-quality glass and quartz wafers for MEMS and sensors applications -- ready to ship right away. At Wafer Universe, you will find a wide selection of wafers in various diameters and thicknesses, as well as materials, including borosilicate wafers (with regular or enhanced MDF polishing), alkaline free glass wafers, and quartz wafers (semiconductor grade quartz). All wafers are made in Germany and come clean-room packed. Minimum order quantities start from only 10 wafers. Typically, your order will be shipped within 48 hours (depending on order time, country of delivery, and availability). As a brand of Plan Optik AG, Wafer Universe maintains the quality management system certified as per IATF 16949, ISO 14001, and ISO 9001.


Research and Development Partner

The Fraunhofer Institute for Photonic Microsystems IPMS in Dresden, Germany, is your access to know-how, expertise and modern R&D infrastructure in the field of optical sensors and actuators, integrated circuits, microsystems (MEMS/MOEMS) and nanoelectronics. Fraunhofer IPMS is one of 75 institutes of the Fraunhofer-Gesellschaft, the leading organization for applied research in Europe. It is devoted to research of practical utility. Relying on 29,000 employees, the Fraunhofer-Gesellschaft has a research budget of 2.8 billion euros.